generated from saji/litex-overlay
coordinator works now
fixed some off by one errors to make the screen work good
This commit is contained in:
parent
9a4dfea4f0
commit
37dabd603a
9 changed files with 117 additions and 146 deletions
|
@ -7,7 +7,7 @@ coordinator dut(.clk(clk));
|
|||
initial begin
|
||||
$dumpfile("coordinator.vcd");
|
||||
$dumpvars(0, coordinator_tb);
|
||||
repeat (10000) @(posedge clk);
|
||||
repeat (100000) @(posedge clk);
|
||||
$finish;
|
||||
end
|
||||
endmodule
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue